News | January 25, 2022

ACM Research Strengthens Wet Processing Portfolio With New Compound Semiconductor Tools

Products address growing demand from electric vehicle, 5G communication and AI markets

Fremont, CA (GLOBE NEWSWIRE) - ACM Research, Inc. (ACM) (NASDAQ: ACMR), a leading supplier of wafer processing solutions for semiconductor and advanced wafer-level packaging (WLP) applications, today introduced its comprehensive tool set to support compound semiconductor manufacturing. ACM’s 150mm-200mm bridge systems support front-end cleaning and a wide range of WLP applications for compound semiconductors including gallium arsenide (GaAs), gallium nitride (GaN) and silicon carbide (SiC) processes. The wet process portfolio includes coater, developer, photoresist (PR) stripper, wet etcher, cleaner and metal plating tools that feature automated systems for flat or notched wafers.

“The compound semiconductor industry is growing rapidly, with demand increasing across a variety of end markets,” said Dr. David Wang, President and Chief Executive Officer of ACM. “ACM has leveraged its expertise and technology in front-end and WLP tool sets to deliver high-performance and cost-effective systems to address the specific technology requirements of compound semiconductors. We believe the market for compound semiconductor capital equipment offers significant growth opportunities to ACM, as GaAs, GaN and SiC devices are becoming an increasingly integral part of future electric vehicle, 5G communication system and artificial intelligence solutions.”

ACM’s Compound Semiconductor Capital Equipment Portfolio:

  1. Ultra C SiC cleaning tool: ACM’s Ultra C SiC cleaning tool targets SiC wafer cleaning using sulfuric peroxide mix (SPM) for surface oxidation and hydrofluoric (HF) acid to remove residues. It also features ACM’s SAPS and Smart Megasonix technologies to achieve a more comprehensive clean without damage to device features. The Ultra C SiC cleaning tool delivers advanced cleaning performance with less than 10@0.3um particles per wafer and metal less than 1e10atoms per cm3. The tool delivers a throughput of more than 70 wafers per hour and is expected to be available in the second half of 2022.
  2. Ultra C wet etch tool: The Ultra C wet etch tool delivers uniformity of less than 2% for GaAs and indium gallium phosphide (InGaP) processes with repeatability of less than 2%. The Ultra C wet etch tool offers high performance chemical temperature control and etching uniformity. The first Ultra C wet etch tool was delivered to a key customer in the third quarter of 2021 and has since passed initial customer testing.
  3. Ultra ECP GIII 1309 tool: ACM’s Ultra ECP GIII 1309 tool supports Cu pillar and solder for Cu, nickel (Ni) and tin silver (SnAg), as well as redistribution layer (RDL) and under-bump metallization (UBM) processes with integrated pre-wet and post-clean chambers. It achieves within-wafer and within-die uniformity of less than 3% and repeatability of less than 2%. The first Ultra ECP GIII 1309 tool was delivered to a key customer mid-2021 and successfully passed customer testing.
  4. Ultra ECP GIII 1108 tool: The Ultra ECP GIII 1108 tool provides Au bumping, thin film and deep via processes with integrated pre-wet and post-clean chambers. It uses ACM’s proven paddle technology for deep via plating to improve step coverage. It delivers within-wafer and within-die uniformity of less than 3% and repeatability of less than 2%. The chamber and tank are specially designed to avoid oxidation of the Au electrolyte, and the tank features a nitrogen gas (N2) purge function to reduce oxidation. The first Ultra ECP GIII 1108 tool was delivered to a key customer in late 2021 and successfully passed customer testing.
  5. Ultra C ct coating system tool: ACM’s Ultra C ct coating system enables even coating of PR chemistry using double-coat, spin-coating technology. It offers advanced benefits, including precise coating control, auto-clean functionalities, hot and cold plate modules, and independent process control functions for each chamber.
  6. Ultra C dv developer tool: ACM’s Ultra C dv developer tool performs the crucial steps of post exposure baking, developing and hard bake for compound semiconductor processes. It leverages ACM’s superior technology to achieve +/- 0.03 LPM of the desired flow rate and +/- 0.5 Celsius of the desired temperature.
  7. Ultra C s scrubber system: The Ultra C s scrubber system leverages ACM’s state-of-the-art wet cleaning technology for superior contaminant removal. It achieves high performance through N2 spray or high pressure to realize more effective cleaning for smaller particles. It is also fully compatible with ACM’s proprietary Smart Megasonix technology to ensure excellent particle removal efficiency (PRE) without damaging finer pattern structures.
  8. Ultra C pr wet stripping system: ACM’s Ultra C pr wet stripping systems utilize both wet bench tank soaking and single-wafer processing to ensure maximum effectiveness for compound semiconductor stripping. The tool was recently ordered by a leading global integrated device manufacturer (IDM) for ease of use in PR removal, further validating ACM’s technology.
  9. Ultra SFP polishing system: The Ultra SFP provides an environmentally friendly alternative to conventional chemical mechanical planarization through-silicon via (TSV) processes and fan-out wafer-level packaging (FOWLP). In TSV applications, ACM’s stress-free polishing (SFP) system is used to remove bulk copper overburden down to 0.2µm by employing proprietary electro-polishing technology, further remove copper to barrier layer by employing conventional CMP, and to remove barrier by employing wet etch, which significantly reduces cost of consumable. For FOWLP, the same process can handle wafer warpage caused by stress of thick copper layer, removes copper overburden and planarizes RDLs.

About ACM Research, Inc.
ACM develops, manufactures and sells semiconductor process equipment for single-wafer or batch wet cleaning, electroplating, stress-free polishing and thermal processes, which are critical to advanced semiconductor device manufacturing and wafer-level packaging. The company is committed to delivering customized, high-performance, cost-effective process solutions that semiconductor manufacturers can use in numerous manufacturing steps to improve productivity and product yield. For more information, visit www.acmrcsh.com.

Forward Looking Statement
Information presented in this press release includes forward-looking statements for purposes of the safe harbor provisions of the Private Securities Litigation Reform Act of 1995. All statements contained in this press release that do not relate to matters of historical fact should be considered forward-looking statements, including statements in the second paragraph with respect to the projected market opportunities and statements in the third paragraph with respect to projected timing of the availability of ACM’s Ultra C cleaning tool. Forward-looking statements are based on ACM management’s current expectations and beliefs, and involve a number of risks and uncertainties that are difficult to predict and that could cause actual results to differ materially from those stated or implied by the forward-looking statements. Those risks and uncertainties include, but are not limited to, the following, any of which could be exacerbated even further by the continuing COVID-19 outbreak in China and globally: anticipated customer orders or identified market opportunities may not grow or develop as anticipated; customer orders already received may be postponed or canceled; ACM may be unable to obtain the qualification and acceptance of its delivered tools when anticipated or at all, which would delay or preclude ACM’s recognition of revenue from the sale of those tools; suppliers may not be able to meet ACM’s demands on a timely basis; ACM’s technologies and tools may not gain market acceptance; ACM may be unable to compete effectively by, among other things, enhancing its existing tools, adding additional production capacity and engaging additional major customers; volatile global economic, market, industry and other conditions could result in sharply lower demand for products containing semiconductors and for ACM's products and in disruption of capital and credit markets; ACM’s failure to successfully manage its operations, including its inability to hire, train, integrate and manage additional qualified engineers for research and development activities; and trade regulations, currency fluctuations, political instability and war may materially adversely affect ACM due to its substantial non-U.S. customer and supplier base and its substantial non-U.S. manufacturing operations. A further description of these risks, uncertainties and other matters can be found in filings ACM makes with the Securities and Exchange Commission. Because forward-looking statements involve risks and uncertainties, actual results and events may differ materially from results and events currently expected by ACM. ACM undertakes no obligation to publicly update these forward-looking statements to reflect events or circumstances that occur after the date hereof or to reflect any change in its expectations with regard to these forward-looking statements or the occurrence of unanticipated events.

© ACM Research, Inc. SAPS, Smart Megasonix, Ultra C and the ACM Research logo are trademarks of ACM Research, Inc. For convenience, these trademarks appear in this press release without ™ symbols, but that practice does not mean that ACM will not assert, to the fullest extent under applicable law, its rights to such trademarks.

© 2022 GlobeNewswire, Inc. All Rights Reserved.

Source: ACM Research, Inc.